Webinar Instructions    Seminars
Please join us in 531 Cory Hall at UC Berkeley, or via the web.

Anticipating Lithography Variation in Design
Andrew R. Neureuther, Juliet Rubinstein, Marshal Miller, Kenji Yamazoe, Eric Chin, Cooper Levy, Chris Clifford, Lynn Wang, Nuo Xu

Abstract

Techniques for identifying, modeling and mitigating process effects in the electrical performance of integrated circuits will be described. These techniques are the outcomes of a multiple-researcher effort to leverage lithography modeling and fast-CAD algorithms. They include electronic characterization, applications of Pattern Matching in advanced imaging systems, and compact models for timing analysis. The work primarily considers systematic effects of lithography nonidealities such as those seen through-focus in the presence of lens aberrations, electromagnetic mask edge effects, and high off-axis illumination.
Through the Berkeley Wireless Research Center and collaboration with ST Micro students have been able to design test structures and measure their performance in silicon at 45 nm such as process-specific ring-oscillators. The etch and stress monitors worked well. The focus and alignment monitors worked but were reduced in sensitivity somewhat by OPC. The residual random component was surprising large compared to the systematic contribution from lithography. A methodology was developed that showed that this large random component was primarily due to a random dopant type effect rather than linewidth variation.
On the first-cut accurate variation modeling side a systematic study showed that a quadratic model of through-focus effects over a Rayleigh depth-of-focus including lens aberrations and electromagnetic mask edge effects for any layout pattern can be found to 1% accuracy for all focus positions. This compact model can be found for any layout from either local layout Pattern Matching with just two patterns or from just three images within the defocus range. An important discovery was that clustering sub-regions of high off-axis sources eliminated any source dependent accuracy degradation of Pattern Matching. As a result Pattern Matching is now sufficiently accurate and fast to be included as a cost-function in the source-mask optimization to capture additional physical effects that are too computationally intensive to be included directly in the optimization such as electromagnetic mask edge effects, lens aberrations, etc. Fast simulation methods for EUV buried defects have been extended to characterize and model the printability of defect-feature interactions. The classical focus-exposure Bossong smile plot was shown to also arise in the timing delay behavior of standard cell libraries. This cell behavior model was then used as a compact delay model to demonstrate an accurate and computationally efficient process-aware timing simulation methodology that is backwardly compatible with existing EDA tools.
This research was supported by multiple grants including the UC Discovery Grant ele07-10283 under the IMPACT program, SRC grant 1443, and Intel.